| Home | E-Submission | Sitemap | Login | Contact Us |  
top_img
J. Korean Ceram. Soc. > Volume 54(5); 2017 > Article
Kim, Yang, Shin, and Kim: Initial Reaction of Hexachlorodisilane on Amorphous Silica Surface for Atomic Layer Deposition Using Density Functional Theory

Abstract

The initial reaction of hexachlorodisilane (Si2Cl6, HCDS) on amorphous silica (SiO2) surface for atomic layer deposition was investigated using density functional theory. Two representative reaction sites on the amorphous SiO2 surface for HCDS reaction, a surface hydroxyl and a two-membered ring, were considered. The reaction energy barrier for HCDS on both sites was higher than its adsorption energy, indicating that it would desorb from the surface rather than react with the surface. At high temperature range, some HCDSs can have kinetic energy high enough to overcome the reaction energy barrier. The HCDS reaction on top of the reacted HCDS was investigated to confirm its self-limiting characteristics.

1. Introduction

As the silicon (Si)-based semiconductor device technology node decreases from 20 nm to below 10 nm, atomic layer deposition (ALD) has replaced chemical vapor deposition (CVD) for several crucial deposition processes that require tighter film thickness controllability and uniformity than ever before.1) The characteristic self-limiting surface reaction of ALD increases the temperature margin (called the temperature window) and the margin for gas flux variability. 2) Plasma enhanced ALD (PEALD) has been developed to deposit films at lower temperature.3) Thermal ALD, however, has still been a preferred choice because PEALD may degrade the device quality and suffer from non-conformality of high aspect ratio features.4,5)
To improve the versatility of ALD precursors, organic ligand-terminated Si precursors have been studied to replace the conventional chlorine (Cl)- or hydrogen (H)-terminated Si precursors.6-11) The conventional Cl- or H-terminated Si precursors, however, have remained the choice for ALD and CVD in the semiconductor industry mainly because of their cost benefits. Of the two precursors, Cl-terminated Si precursors are preferred because they exhibit a self-limiting surface reaction better than that of the H-terminated precursors, and therefore produce better film quality. 12,13) These beneficial effects of Cl-terminated Si precursors are mainly due to the stronger bonds of Cl with Si than with H; the strong bonds suppress the reaction between the molecules. They also suppress the homogeneous reaction in the gas phase, resulting in less containment of particles in films. The stronger bonds, however, reduce the deposition rate and therefore precursors with more than one Si atom have been used to circumvent the low deposition rate. Chung et al. reported higher deposition rates with molecules containing more Si atoms per molecule.14) Due to the abrupt cost hike for precursors with more than two Si atoms per molecule, hexachlorodisilane (Si2Cl6, HCDS), which contains two Si atoms, has come to be accepted in the semiconductor industry as the best choice for Si source. HCDS shows a growth rate in ALD higher than that of tetrachlorosilane (SiCl4), mainly due to there being two Si atoms per molecule.15,16)
In this study, to understand thermal ALD, the initial reaction mechanism of HCDS on an amorphous silica (SiO2) surface was investigated at atomic scale using density functional theory with consideration of van der Waals interaction. The HCDS reaction on top of the reacted HCDS was also considered in order to confirm its self-limiting characteristics.

2. Calculation Method

All DFT calculations were performed using the Vienna ab-initio simulation package (VASP) code.17-20) The electron wave functions were described using the projector augmented wave (PAW) method of Blöchl,21) which was implemented in the VASP code by Kresse and Joubert.22) The exchange correlation energy was described via the generalized gradient approximation (GGA) of Perdew, Burke, and Ernzerhof (PBE).23) All calculations considered van der Waals interactions based on Grimme’s D2 approach in PAW; the van der Waals interactions were described via a simple pair-wise force field that was optimized for several popular DFT functionals, such as PBE and B3LYP.24) The surface reaction energy barrier was calculated using the climbing nudged elastic bond tool.25)
Bulk amorphous SiO2 was constructed based on crystalline β-cristobalite SiO2, which shows local structure, density, and refractive index similar to those of amorphous SiO2.26) A 2 × 2 × 1 crystalline β-cristobalite SiO2 super cell, shown in Fig. 1(a), was melted at 7000 K and quenched from 3000 K to 0 K at a cooling speed of 100 K/fs using ab-initio molecular dynamics (MD). The super cell is 14.3 × 14.3 × 7.54 Å3 and contains 32 Si and 64 O atoms. The obtained bulk amorphous SiO2, shown in Fig. 1(b), was converted to a surface structure by adding a 2 nm vacuum slab and removing all dangling bonds by attaching H atoms. The surface structure was annealed at 1000 K for 0.5 fs. There were three -OHs, two 2-membered (2 M) rings, three 3 M rings, and five 4 M rings on the resulting surface, shown in Fig. 1(c). In this study, the -OH and 2 M ring were considered as representative reaction sites for the HCDS reaction. HCDS was optimized within a 2 nm × 2 nm × 2 nm vacuum box using the PBE-D2 method to obtain the most favorable structure and bond dissociation energy. Its structure is shown in the vacuum region of Fig. 1(c).

3. Results and Discussion

Table 1 shows the bond length and angle of HCDS and the amorphous SiO2 surface. The surface is represented by the -OH and 2 M ring. The bond lengths of HCDS and -OH of the amorphous SiO2 surface are in good agreement with the literature.27,29) Fig. 2 shows the initial reaction of HCDS with the (a) -OH and (b) O of the 2 M ring of the amorphous SiO2 surface. HCDS reacts with the -OH and produces an HCl as a by-product, while HCDS on the 2 M ring does not produce any by-product. Adsorption energy (ΔEad), energy barrier (ΔEba), and reaction energy (ΔEre) are presented for the two representative reactions. ΔEad, ΔEba, and ΔEre were calculated using the equations given below:
ΔEad=Er-EadΔEba=Etr-EadΔEre=Ead-Ere
where Er, Ead, and Ere represent the energy of the reference, adsorbed, and reacted states of HCDS, respectively, with the -OH or 2 M ring, and Etr represents the energy of the transition state during the surface reaction. The positive values of ΔEad and ΔEre indicate that the reactions are exothermic. ΔEad is obtained at 0 K (i.e. no entropy-temperature effect (−ΔS·T) was considered). Because ΔEad of HCDS near both sites was lower than its ΔEba, HCDS rather desorbs from the surface than reacts with the surface. Since HCDS, because its ΔEad value is lower than its ΔEba value, does not follow the typical adsorption and reaction route for the reaction with the amorphous SiO2 surface, we need to consider another route.
Figure 3 shows the Maxwell-Boltzmann probability density function,30) which represents the speed for ideal gas molecules at low (blue solid line) and high (red solid line) temperatures, where EK represents the kinetic energy of the ideal gas molecules. The gas molecules in the blue shadowed region at low temperature will adsorb on the surface and react with the surface if ΔEad is greater than the ΔEba; we do not have to consider the entropy-temperature effect in ΔEad because the entropy change is small for the molecules in the blue shadowed region (the size of the shadowed region is exaggerated for viewing purposes). Since ΔEad of HCDS is smaller than ΔEba even without considering the entropy-temperature effect, HCDS will not react with the surface after its adsorption. If EK of the molecule is high enough to overcome ΔEba during its collision with the surface in an appropriate direction, the molecule will react with the surface. We can increase the number of molecules having high EK by increasing the temperature, as shown in the red shadowed region in Fig. 3 (the size of the region is again exaggerated for viewing purposes). The number of HCDS molecules that show higher EK than ΔEba was estimated to be about ten per 106 at 700°C. This amount can be considered to be high enough for the reaction route, because trimethylaluminium (Al(CH3)3, TMA), which reacts with a surface after its adsorption because its ΔEad value is higher than its ΔEba value, typically consumes four per 106 TMA molecules.29)
The HCDS reaction on top of a -Si2Cl5 group that was formed by the reaction of the initial HCDS with the surface was evaluated to confirm its self-limiting characteristics as an ALD Si precursor. The Si-Cl and Si-Si bond breakings of HCDS were considered; the reactions produce Cl2 and SiCl4 as by-products, respectively. Fig. 4 shows the values of ΔEad, ΔEba, and ΔEre for the HCDS reaction when there is a -Si2− Cl5 group in the two reaction routes. Near the -OH or 2 M ring, the value of ΔEba for both routes increased significantly compared with that of the initial HCDS reaction. The number of HCDS molecules that have higher values of EK than of ΔEba was estimated to be about four per 1017 at 700°C. The reaction rate will be 1012 times lower than that of the initial HCDS reaction, indicating that the molecule shows self-limiting characteristics.

4. Conclusions

The initial reaction of HCDS on an amorphous SiO2 surface was investigated using density functional theory. HCDS could not react with the surface through its adsorption, because its adsorption energy was lower than the reaction energy barrier. HCDS was able to react with the surface when the temperature was raised to increase the number of HCDS molecules having high enough kinetic energy to overcome the reaction energy barrier. The HCDS reaction with the already reacted HCDS required much higher reaction energy, indicating that HCDS shows a self-limiting characteristic for ALD.

Acknowledgments

This paper was supported by the Education and Research Promotion Program of KOREATECH.

Fig. 1
SiO2 structures of (a) a crystalline β-cristobalite bulk, (b) an amorphous bulk, and (c) an amorphous surface with HCDS in vacuum. Si, O, Cl, and H atoms were colored by grey, red, green, and white, respectively.
jkcs-54-5-443f1.gif
Fig. 2
Initial reaction of HCDS with the (a) -OH and (b) O of the 2M ring of the amorphous SiO2 surface. Adsorption energy, energy barrier, and reaction energy are presented for the two representative reactions.
jkcs-54-5-443f2.gif
Fig. 3
Maxwell-Boltzmann probability density function representing speed for ideal gas molecules at low (blue solid line) and high (red solid line) temperatures, where EK represents kinetic energy of the ideal gas molecules. The blue and red shadowed regions are exaggerated for viewing purposes and represent the parts of the ideal gas molecules involved in surface reaction at low and high temperatures, respectively.
jkcs-54-5-443f3.gif
Fig. 4
HCDS reaction with (a) Si-Cl bond breaking and (b) Si-Si bond breaking when HCDS is located on top of a -Si2Cl5 group. Adsorption energy, energy barrier, and reaction energy are presented for the two representative reactions.
jkcs-54-5-443f4.gif
Table 1
Bond Length and Angle of HCDS and an Amorphous SiO2 Surface
HCDS SiO2
Length (Å) Si-Si 2.35 (2.3327)) -
Si-Cl 2.05 (20227)) -
Si-O - 1.63(1.6328))
1.67††, 1.69††
O-H - 0.97(0.9627))

Angle (º) α 109.5 89.0††
β 109.1 90.8††
γ 109.0 117.3
δ 109.8 -

-OH

†† 2 M

REFERENCES

1. RW. Johnson, A. Hultqvist, and SF. Bent, “A Brief Review of Atomic Layer Deposition: from Fundamentals to Applications,” Materials Today, 17 [5] 236-46 (2014).
crossref
2. T. Kaariainen, D. Cameron, M-L. Kaariainen, and A. Sherman, Atomic Layer Deposition; 2nd ed. pp. 1-31 Scrivener Publishing and Wiely, Beverly, 2013.

3. HB. Profijt, SE. Potts, MCM. van de Sanden, and WMM. Kessels, “Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges,” J Vac Sci Technol A, 29 [5] 050801(2011).
crossref
4. HCM. Knoops, E. Langereis, MCM. van de Sanden, and WMM. Kessels, “Conformality of Plasma-Assisted ALD: Physical Processes and Modeling,” J Electrochem Soc, 157 [12] G241-49 (2010).
crossref
5. SM. George, “Atomic Layer Deposition: An Overview,” Chem Rev, 110 [1] 111-31 (2010).
crossref
6. J-S. Kim, J-H. Yang, Y-C. Jeong, D-H. Kim, S-B. Baek, and Y-C. Kim, “Effect of Amino Ligand Size of Si Precursors on Initial Reaction with an -OH-Terminated Si (001) Surface for Atomic Layer Deposition,” Jpn J Appl Phys, 53 [8S3] 08NE04(2014).
crossref
7. J-H. Yang, S-B. Baek, and Y-C. Kim, “Initial Surface Reaction of Di-Isopropylaminosilane on a Fully Hydroxyl-Terminated Si (001) Surface,” J Nanosci Nanotechnol, 14 7954-60 (2014).
crossref
8. I. Suzuki, C. Dussarrat, and K. Yanagita, “Extra Low-Temperature SiO2 Deposition Using Aminosilanes,” ECS Trans, 3 [15] 119-28 (2007).
crossref
9. S-B. Baek, D-H. Kim, and Y-C. Kim, “Adsorption and Surface Reaction of Bis-Diethylaminosilane as a Si Precursor on an OH-Terminated Si (001) Surface,” Appl Surf Sci, 258 6341-44 (2012).
crossref
10. BB. Burton, SW. Kang, SW. Rhee, and SM. George, “SiO2 Atomic Layer Deposition Using Tris(dimethylamino) silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy,” J Phys Chem C, 113 8249-57 (2009).
crossref
11. Y-C. Jeong, S-B. Baek, D-H. Kim, J-S. Kim, and Y-C. Kim, “Initial Reaction of Silicon Precursors with a Varying Number of Dimethylamino Ligands on a Hydroxyl-Terminated Silicon (001) Surface,” Appl Surf Sci, 280 207-11 (2013).
crossref
12. X. Meng, Y-C. Byun, HS. Kim, JS. Lee, AT. Lucero, L. Cheng, and J. Kim, “Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks,” Materials, 9 1-20 (2016).
crossref
13. S. Kotamraju, B. Krishnan, G. Melnychuk, and Y. Koshka, “Low-Temperature Homoepitaxial Growth of 4H-SiC with CH3Cl and SiCl4 Precursors,” J Crystal Growth, 312 645-50 (2010).
crossref
14. KH. Chung, N. Yao, J. Benziger, JC. Sturm, KK. Signh, D. Carlson, and S. Kuppuoao, “Ultrahigh Growth Rate of Epitaxial Silicon by Chemical Vapor Deposition at Low Temperature with Neopentasilane,” Appl Phys Lett, 92 113506(2008).
crossref
15. RC. Taylor, and BA. Scott, “Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide and Silicon Oxynitride Films,” J Electrochem Soc, 136 2382-85 (1989).
crossref
16. K. Park, W-D. Yun, B-J. Choi, H-D. Kim, W-J. Lee, S-K. Rha, and C-O. Park, “Growth Studies and Characterization of Silicon Nitride Thin Films Deposited by Alternating Exposures to Si2Cl6 and NH3 ,” Thin Solid Films, 517 3975-78 (2009).
crossref
17. G. Kresse, and J. Hafner, “ Ab initio Molecular Dynamics for Liquid Metals,” Phys Rev B, 47 558-61 (1993).
crossref
18. G. Kresse, “ Ab initio Molekular Dynamik fur flussige Metalle,” PhD Thesis, Technische Universität Wien, Wien1993.

19. G. Kresse, and J. Furthmuller, “Efficiency of ab-initio Total Energy Calculations for Metals and Semiconductors Using a Plane-Wave Basis Set,” Comput Mater Sci, 6 [1] 15-50 (1996).
crossref
20. G. Kresse, and J. Furthmuller, “Efficient Iterative Schemes for ab initio Total-Energy Calculations Using a Plane-Wave Basis Set,” Phys Rev B, 54 [16] 11169-86 (1996).
crossref
21. PE. Blochl, “Projector Augmented-Wave Method,” Phys Rev B, 50 [24] 17953-79 (1994).
crossref
22. G. Kresse, and D. Joubert, “From Ultrasoft Pseudopotentials to the Projector Augmented-Wave Method,” Phys Rev B, 59 [3] 1758-75 (1999).
crossref
23. JP. Perdew, K. Burke, and M. Ernzerhof, “Generalized Gradient Approximation Made Simple,” Phys Rev Lett, 77 [18] 3865-68 (1996).
crossref
24. S. Grimme, “Semiempirical GGA-Type Density Functional Constructed with a Long-Range Dispersion Correction,” J Comput Chem, 27 1787-99 (2006).
crossref
25. G. Henkelman, and H. Jónsson, “A Climbing Image Nudged Elastic Band Method for Finding Saddle Points and Minimum Energy Paths,” J Chem Phys, 113 [22] 9901-4 (2000).
crossref
26. J. Shen, K. Muthukumar, HO. Jeschke, and R. Valenti, “Physisorption of an Organometallic Platinum Complex on Silica: An ab initio Study,” New J Phys, 14 073040(2012).
crossref
27. TL. Cottrell, The Strengths of Chemical Bonds; 2nd ed. pp. 272-83 Butterworths, London, 1958.

28. DA. Keen, and MT. Dove, “Local Structures of Amorphous and Crystalline Phases of Silica, SiO2, by Neutron Total Scattering,” J Phys: Condens Matter, 11 [47] 9263-73 (1999).
crossref
29. RA. Wind, and SM. George, “Quartz Crystal Microbalance Studies of Al2O3 Atomic Layer Deposition Using Trimethylaluminum and Water at 125°C,” J Phys Chem A, 114 [3] 1281(2010).
crossref
30. HJW. Muller-Kirsten, Basics of Statistical Physics; 2nd ed. pp. 11-22 World Scientific, 2013.

TOOLS
PDF Links  PDF Links
PubReader  PubReader
ePub Link  ePub Link
Full text via DOI  Full text via DOI
Download Citation  Download Citation
  Print
Share:      
METRICS
6
Crossref
6
Scopus
9,141
View
423
Download
Related article
Editorial Office
Meorijae Bldg., Suite # 403, 76, Bangbae-ro, Seocho-gu, Seoul 06704, Korea
TEL: +82-2-584-0185   FAX: +82-2-586-4582   E-mail: ceramic@kcers.or.kr
About |  Browse Articles |  Current Issue |  For Authors and Reviewers
Copyright © The Korean Ceramic Society.                      Developed in M2PI